English  |  正體中文  |  简体中文  |  2815447  
???header.visitor??? :  27402090    ???header.onlineuser??? :  1309
???header.sponsordeclaration???
 
臺灣學術機構典藏系統 (Taiwan Academic Institutional Repository, TAIR)
???ui.leftmenu.abouttair???

???ui.leftmenu.bartitle???

???index.news???

???ui.leftmenu.copyrighttitle???

???ui.leftmenu.link???

"jiang i h r"???jsp.browse.items-by-author.description???

???jsp.browse.items-by-author.back???
???jsp.browse.items-by-author.order1??? ???jsp.browse.items-by-author.order2???

Showing items 26-50 of 52  (3 Page(s) Totally)
<< < 1 2 3 > >>
View [10|25|50] records per page

Institution Date Title Author
臺大學術典藏 2020-06-11T06:12:52Z Machine-learning-based hotspot detection using topological classification and critical feature extraction Yu, Y.-T.;Lin, G.-H.;Jiang, I.H.-R.;Chiang, C.; Yu, Y.-T.; Lin, G.-H.; Jiang, I.H.-R.; Chiang, C.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:51Z Pulsed-latch replacement using concurrent time borrowing and clock gating Chang, C.-L.;Jiang, I.H.-R.; Chang, C.-L.; Jiang, I.H.-R.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:51Z INTEGRA: Fast multibit flip-flop clustering for clock power saving Jiang, I.H.-R.;Chang, C.-L.;Yang, Y.-M.; Jiang, I.H.-R.; Chang, C.-L.; Yang, Y.-M.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:50Z Recent research development in metal-only ECO Tan, C.-Y.;Jiang, I.H.-R.; Tan, C.-Y.; Jiang, I.H.-R.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:50Z Generic integer linear programming formulation for 3D IC partitioning Jiang, I.H.-R.; Jiang, I.H.-R.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:50Z Simultaneous voltage island generation and floorplanning Li, H.-Y.;Jiang, I.H.-R.;Chen, H.-M.; Li, H.-Y.; Jiang, I.H.-R.; Chen, H.-M.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:49Z Analog placement and global routing considering wiring symmetry Yang, Y.-M.;Jiang, I.H.-R.; Yang, Y.-M.; Jiang, I.H.-R.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:49Z 3DICE: 3D IC cost evaluation based on fast tier number estimation Chan, C.-C.;Yu, Y.-T.;Jiang, I.H.-R.; Chan, C.-C.; Yu, Y.-T.; Jiang, I.H.-R.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:48Z Power-state-aware buffered tree construction Jiang, I.H.-R.;Wu, M.-H.; Jiang, I.H.-R.; Wu, M.-H.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:48Z POSA: Power-state-aware buffered tree construction Jiang, I.H.-R.;Wu, M.-H.; Jiang, I.H.-R.; Wu, M.-H.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:48Z Live demo: ECOS 1.0: A metal-only ECO synthesizer Jiang, I.H.-R.;Chang, H.-Y.; Jiang, I.H.-R.; Chang, H.-Y.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:47Z GasStation: Power and area efficient buffering for multiple power domain design Lu, C.-P.;Jiang, I.H.-R.;Hsu, C.-H.; Lu, C.-P.; Jiang, I.H.-R.; Hsu, C.-H.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:47Z Smart grid load balancing techniques via simultaneous switch/tie-line/wire configurations Jiang, I.H.-R.;Nam, G.-J.;Chang, H.-Y.;Nassif, S.R.;Hayes, J.; Jiang, I.H.-R.; Nam, G.-J.; Chang, H.-Y.; Nassif, S.R.; Hayes, J.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:47Z ITimerC: Common path pessimism removal using effective reduction methods Yang, Y.-M.;Chang, Y.-W.;Jiang, I.H.-R.; Yang, Y.-M.; Chang, Y.-W.; Jiang, I.H.-R.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:47Z ITimerC 2.0: Fast incremental timing and CPPR analysis Lee, P.-Y.;Jiang, I.H.-R.;Li, C.-R.;Chiu, W.-L.;Yang, Y.-M.; Lee, P.-Y.; Jiang, I.H.-R.; Li, C.-R.; Chiu, W.-L.; Yang, Y.-M.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:46Z DRC-based hotspot detection considering edge tolerance and incomplete specification Yu, Y.-T.;Jiang, I.H.-R.;Zhang, Y.;Chiang, C.; Yu, Y.-T.; Jiang, I.H.-R.; Zhang, Y.; Chiang, C.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:46Z Timing ECO optimization via B?zier curve smoothing and fixability identification Chang, H.-Y.;Jiang, I.H.-R.;Chang, Y.-W.; Chang, H.-Y.; Jiang, I.H.-R.; Chang, Y.-W.; HUI-RU JIANG
臺大學術典藏 2020-06-11T06:12:45Z FastPass: Fast timing path search for generalized timing exception handling Lee, P.-Y.;Jiang, I.H.-R.;Chen, T.-C.HUI-RU JIANG;Chen, T.-C.;Jiang, I.H.-R.;Lee, P.-Y.; Lee, P.-Y.; Jiang, I.H.-R.; Chen, T.-C.; HUI-RU JIANG
臺大學術典藏 2018-09-10T14:57:59Z Functional ECO using metal-configurable gate-array spare cells Chang, H.-Y.;Jiang, I.H.-R.;Chang, Y.-W.; Chang, H.-Y.; Jiang, I.H.-R.; Chang, Y.-W.; YAO-WEN CHANG
臺大學術典藏 2018-09-10T09:48:08Z ECO optimization using metal-configurable gate-array spare cells Chang, H.-Y.;Jiang, I.H.-R.;Chang, Y.-W.; Chang, H.-Y.; Jiang, I.H.-R.; Chang, Y.-W.; YAO-WEN CHANG
臺大學術典藏 2018-09-10T09:22:25Z Timing ECO optimization via B?zier curve smoothing and fixability identification Chang, H.-Y.; Jiang, I.H.-R.; Chang, Y.-W.; YAO-WEN CHANG
臺大學術典藏 2018-09-10T09:22:25Z Timing ECO optimization using metal-configurable gate-array spare cells Chang, H.-Y.; Jiang, I.H.-R.; Chang, Y.-W.; YAO-WEN CHANG
臺大學術典藏 2018-09-10T08:42:37Z Simultaneous functional and timing ECO Chang, H.-Y.;Jiang, I.H.-R.;Chang, Y.-W.; Chang, H.-Y.; Jiang, I.H.-R.; Chang, Y.-W.; YAO-WEN CHANG
臺大學術典藏 2018-09-10T08:42:36Z Timing ECO optimization via B?zier curve smoothing and fixability identification Chang, H.-Y.;Jiang, I.H.-R.;Chang, Y.-W.; Chang, H.-Y.; Jiang, I.H.-R.; Chang, Y.-W.; YAO-WEN CHANG
臺大學術典藏 2018-09-10T05:58:32Z Reliable crosstalk-driven interconnect optimization Jiang, I.H.-R.; Pan, S.-R.; Chang, Y.-W.; Jou, J.-Y.; YAO-WEN CHANG

Showing items 26-50 of 52  (3 Page(s) Totally)
<< < 1 2 3 > >>
View [10|25|50] records per page